• 검색 결과가 없습니다.

Digital Design Methodology

N/A
N/A
Protected

Academic year: 2022

Share "Digital Design Methodology"

Copied!
23
0
0

로드 중.... (전체 텍스트 보기)

전체 글

(1)

Digital Design Methodology

(2)

Digital Design Methodology (Added)

 Design Methodology

 Design Specification

 Verification

 Synthesis

 Technology Options

 Full Custom VLSI

 Standard Cell ASIC

 FPGA

(3)

Design Methodology: Big Picture

Design Specification

Design Partition

Design Entry Behavioral Modeling Simulation/Functional

Verification

Pre-Synthesis Sign-Off

Postsynthesis Design Validation

Postsynthesis Timing Verification Test Generation and

Fault Simulation Cell Placement/Scan Insertation/Routing Verify Physical and

Electrical Rules Synthesize and Map

Gate-level Net List Design Integration

And Verification

(4)

Design Specification

 Written statement of functionality, timing, area, power, testability, fault coverage, etc.

 Functional specification methods:

 State Transition Graphs

 Timing Charts

 Algorithm State Machines (like flowcharts)

 HDLs (Verilog and VHDL)

(5)

Design Partition

 Partition to form an Architecture

 Interacting functional units

Control vs. datapath separation

Interconnection structures within datapath

Structural design descriptions

 Components described by their behaviorals

Register-transfer descriptions

 Top-down design method exploiting hierarchy and reuse of design effort

(6)

Design Entry

Primary modern method: hardware description language

Higher productivity than schematic entry

Inherently easy to document

Easier to debug and correct

Easy to change/extend and hence experiment with alternative architectures

Synthesis tools map description into generic technology description

E.g., logic equations or gates that will subsequently be mapped into detailed target technology

Allows this stage to be technology independent (e.g., FPGA LUTs or ASIC standard cell libraries)

Behavioral descriptions are how it is done in industry today

(7)

Simulation and Functional Verification

Simulation vs. Formal Methods

Test Plan Development

What functions are to be tested and how

Testbench Development

Testing of independent modules

Testing of composed modules

Test Execution and Model Verification

Errors in design

Errors in description syntax

Ensure that the design can be synthesized

The model must be VERIFIED before the design methodology can proceed

(8)

Design Integration and Verification

 Integrate and test the individual components that have been independently verified

 Appropriate testbench development and integration

 Extremely important step and one that is often the source of the biggest problems

 Individual modules thoroughly tested

 Integration not as carefully tested

 Bugs lurking in the interface behavior among modules!

(9)

Presynthesis Sign-off

 Demonstrate full functionality of the design

 Make sure that the behavior specification meets the design specification

 Does the demonstrated input/output behavior of the HDL description represent that which is expected from the

original design specification

 Sign-off only when all functional errors have been eliminated

(10)

Gate-Level Synthesis and Technology Mapping

Once all syntax and functional errors have been eliminated, synthesize the design from the behavior description

Optimized Boolean description

Map onto target technology

Optimizations include

Minimize logic

Reduce area

Reduce power

Balance speed vs. other resources consumed

Produces netlist of standard cells or database to configure target FPGA

(11)

Postsynthesis Design Validation

 Does gate-level synthesized logic implement the same input- output function as the HDL behavioral description?

Verilog

Behavioral Desc Logic Gate-Level Desc

Synthesis Stimulus Generator

Testbench for Postsynthesis Design Validation

(12)

Postsynthesis Timing Verification

 Are the timing specifications met?

 Are the speeds adequate on the critical paths?

 Can’t accurately be determined until actual physical layout is understood and analyzed—length of wires,

relative placement of sources and sinks, number of switch matrix crosspoints traversed, etc.

 Resynthesis may be required to achieve timing goals

 Resize transistors

 Modify architecture

(13)

Test Generation and Fault Simulation

This is NOT about debugging the design!

Design should be correct at this stage, so

Determine set of test vectors to test for inherent fabrication flaws

Need a quick method to sort out the bad from the good chips

More exhaustive testing may be necessary for chips that pass the first level

More relevant for ASIC design than FPGAs

Avoiding this step is one of the advantages of using the FPGA approach

Fault simulation is used to determine how complete are the test vectors

(14)

Placement and Routing

 ASIC Standard Cells

 Select the cells and placement them on the mask

 Interconnect the placed cells

 Choose implementation scheme for critical signals

E.g., Clock distribution trees to minimize skew

 Insert scan paths

 FPGAs

 Placing functions into particular CLBs/Slices and

committing interconnections to particular wires in the

(15)

Physical and Electrical Design Rule Check

 Applies to ASICs primarily

 Are mask geometries correct to insure high probability of successful fabrication?

 Fan-outs correct? Crosstalk signals within specification?

Current drops within specification? Noise levels ok?

Power dissipation acceptable?

 Many of these issues are not significant at a chip level for an FPGA but may be an issue for the system that incorporates the FPGA

(16)

Parasitic Extraction

 Extract geometric information from design to determine capacitance

 Yields a much more realistic model of signal performance and delay

 Are the speed (timing) and power goals of the design still met?

 Could trigger another redesign/resythesize cycle if not met

(17)

Design Sign-off

 All design constraints have been met

 Timing specifications have been met

 Mask set ready for fabrication

(18)

SIA Roadmap —Technology Trends

Transistor Gate Length Transistors per cm2

Chip Size

1999 0.14 mm

14 million

800 mm2

2001 0.12 mm

16 million

850 mm2

2003 0.10 mm

24 million

900 mm2

2006 0.07 mm

40 million

1000 mm2

2009 0.05 mm

64 million

1100 mm2

2012 0.035 mm

100 million

1300 mm2

(19)

Alternative Technologies

Standard Chips

Commonly used logic functions

Small amount of circuitry, order 100 transistors

Popular through the early 1980s

Programmable Logic Devices

Generalized structure with programmable switches to allow (re)configuration in many different ways

PALs, PLAs, FPGAs

FPGAs go up 10+ million transistors

Widely used today

Custom-Designed Chips

Semi-custom: Gate Arrays, Standard Cells

(20)

Comparison of Implementation Technologies

Full Custom Chips

Largest number of logic gates and highest speed

Microprocessors and memory chips

Created from scratch as a custom layout

Significant design effort and design time

Standard Cell (ASIC) Variation

Gate arrays: prefab’d gates and routing channels

Can be stockpiled

Customization comes from completing the wiring layer

Library cells: predesigned logic, custom placed and routed

All process layers are fabricated for a given design

Design time is accelerated, but implementation time is still slow

(21)

Comparison of Implementation Technologies

 Field Programmable Gate Arrays

 Combines advantages of ASIC density with fast implementation process

 Nature of the programmable interconnect leads to slower performing designs than that possible with other

approaches

 Appropriate for prototyping, where speed to implementation is the key factor.

 Or where density is important but the unit volumes are not large enough to justify the design effort and costs associated with custom-designed approaches

(22)

Alternative Technologies for IC Implementation

Market Volume to Amortize

Time to Prototype

PLDs

FGPAs Gate Arrays

Standard Cells

Full Custom IC

(23)

Die Photos: Vertex vs. Pentium IV

 FGPA Vertex chip looks remarkably well structured

 Very dense, very regular structure

 Full Custom Pentium chip somewhat more random in structure

참조

관련 문서

(2) 사고의 발생 방지: 방사성 물질을 내장하는 기기 등은 상정되는 운전 조건을 적절하게 고려하여 그 구조 건전성을 확보함과 동시에 내장하는 방사성 물질이

이러한 시대적 흐름에 따라 뮤지컬 퍼포먼스 연계전공을 개설함으로써 영상과 함께하는 뮤지컬 연기의 실습과정을 경험하고 뮤지컬 공연 예 술에 진입하기

- 대구경 보수 port가 필요하고, PF coil 설치 위치에 대한 제약, TF coil의 비틀림 힘에 대한 지지 제약(코일 간 지지 구조물의 설치 영역 제약)의

21세기 미래형 선도 산업으로써 주도적 역할을 담당하고 있는 국내외 호텔 및 전문외식산업 분야에 중추적인 역할을 수행할 진취적이고 도전적인 최고의 글로벌

- Divertor: 중성자와 각종의 하전입자 충돌로 방사화됨. Divertor plate도 교환할 필요가 있음. 예) ITER: cassette 모양의 설계.. 중성자 조사량이 매우 높고,

Design consulting service focusing on design development is dominant in Korean design industry. There has been design consulting service supported by

In terms of the mould design, the effects of runner system design and the mould temperature on filling characteristics, the weldline formation, the

This study derives the attributes of 'product design identity' from the consumer's point of view, and verified whether the 'product design identity'