• 검색 결과가 없습니다.

한글강의노트 Chap3

N/A
N/A
Protected

Academic year: 2021

Share "한글강의노트 Chap3"

Copied!
54
0
0

로드 중.... (전체 텍스트 보기)

전체 글

(1)

Digital

Digital

Fundamentals

Fundamentals

Tenth Edition

Floyd

Chapter 3

(2)

3

3

3장

장 논리게이트

논리게이트

논리게이트

학습 목차

z 3-1 반전기 z 3-2 AND 게이트 z 3-3 OR 게이트 z 3-4 NAND 게이트 z 3-5 NOR 게이트 ( ) ( ) z 3-6 배타적OR게이트(XOR 게이트)와 배타적NOR게이트(XNOR게이트) z 3-7 고정기능의 논리

(3)

3.1

3.1

3.1 반전기

반전기

반전기

반전기(Inverter) = Not Gate

A

X

반전기는 입력이 LOW일때 출력이 HIGH가 되고 입력이

HIGH일때 출력이 LOW가 되는 게이트

반전기의 진리표

(Truth Table of Inverter)

Input

A

X

Output

LOW (0) HIGH (1) LOW (0) HIGH (1) HIGH (1) LOW(0)

반전기의 논리식 : NOT 연산(complement)은 윗줄표시

X =

A

X

A

(4)

3.1

3.1

3.1 반전기

반전기

반전기

반전기(Inverter)

A

X

버블이 입력에 있으면Active low입력이라 함

버블이 출력에 있으면

반전기의 입력, 출력에 대한 타이밍도

A

버블이 출력에 있으면 Active low출력이라 함

A

X

반전기들을 이용하면 이진수의 1의 보수를 만들 수

있다.

Binary number 1 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1

(5)

3.2 AND

3.2 AND

3.2 AND 게이트

게이트

게이트

AND Gate

A B X A & B X

AND gate는 모든 입력이 HIGH일 때만 출력이 HIGH 이고

그렇지 않은 경우에 출력이LOW이다.

Inputs Output Inputs A B X Output 0 0 0

2-input and gate에 대한

진리표

0 1 1 0 1 1 0 0 1

진리표

AND 연산(논리곱)은 변수사이에 dot로 표시하거나 생략.

X = A

.

B or X = AB.

(6)

3.2 AND

3.2 AND

3.2 AND 게이트

게이트

게이트

AND Gate

A B X A & B X

예제 파형:

A

A

B

X

AND 연산은 컴퓨터프로그래밍에서 선택 마스킹할 때 이용. 예를 들어, 이진수의 비트 중 일부는 그대로 유지하고 다른 비트는 리셋하여 0으로 만들고 싶으면, 유지하려는 비트 위치에만 1인

(7)

3.2 AND

3.2 AND

3.2 AND 게이트

게이트

게이트

(8)

3.2 AND

3.2 AND

3.2 AND 게이트

게이트

게이트

(9)

3.2 AND

3.2 AND

3.2 AND 게이트

게이트

게이트

The AND Gate 응용

주기적인 주기적인 Enable신호

(10)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

는 입력 중 하나라도

일 때 출력이

OR Gate

A B X A B X ≥ 1

- OR gate 는 입력 중 하나라도 HIGH일 때 출력이 HIGH임

- 모든 입력이 LOW일 때만 출력이 LOW

Inputsp Output A B X p 0 0 0 1 0 1

2-입력 게이트에

대한 진리표:

0 1 1 0 1 1 1 1 1

대한 진리표:

OR 연산(논리합)은 변수들 사이에 덧셈기호(+)로 표시.

X = A + B

(11)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

OR Gate

A B X A B X ≥ 1

예제 파형:

A

A

B

X

OR 연산은 컴퓨터 프로그래밍에서 이진수의 특정 비트들을 1로 만드는데 이용된다. ASCII 에서 소문자의 경우 5번 비트 위치 값은 1이고 대문자의 경우에는 0이다. (가장 우측의 LSB를 0번 위치, ( MSB를 7번 위치라고 가정) ASCII 영문 대문자와 8-bit mask 00100000 를 OR하면 그 결과는? (93페이지 ASCII코드참고)

(12)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

(13)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

(14)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

(15)

3.3 OR

3.3 OR

3.3 OR 게이트

게이트

게이트

OR Gate 응용

침입을 탐지하여 경보음을 발생하는

경보시스템의 예

경보시스템의 예

창문 센서1 창문 센서2 문 센서1

문이나 창문이 열려있을때 HIGH

닫혀있을때 LOW를 출력하는 센서로 구성되어 있는 경우

(16)

3.4 NAND

3.4 NAND

3.4 NAND 게이트

게이트

게이트

NAND Gate

A B X A B X &

NAND gate는 모든 입력이 HIGH일 때만 출력이 LOW

그렇지 않을 때 출력은 HIGH.

Inputs Output Inputs A B X Output 0 0 1

2-input gate의

진리표

0 1 1 0 1 1 1 1 0

(17)

3.4 NAND

3.4 NAND

3.4 NAND 게이트

게이트

게이트

NAND Gate

A B X A B X &

예제 파형

A

Inputs A B X Output 0 0 0 1 1 1

A

B

0 1 1 0 1 1 1 1 0

X

NAND게이트는 용도가 매우 많은 게이트로서 “universal :

범용” 게이트라고 한다. 다른 모든 기본적인 게이트들은

NAND 게이트들을 이용하여 만들 수 있다.

2-input NAND 게이트로 반전기를 만들려면

어떻게 연결하여야 하는가?

(18)

3.4 NAND

3.4 NAND

3.4 NAND 게이트

게이트

게이트

(19)

3.4 NAND

3.4 NAND

3.4 NAND 게이트

게이트

게이트

NAND Gate

Inputs Output A B X 0 0 0 1 1 1

2-input NAND gate의

진리표;

0 1 1 0 1 1 1 1 0

(20)

3.4 NAND

3.4 NAND

3.4 NAND 게이트

게이트

게이트

NAND Gate

(21)

3.5 NOR

3.5 NOR

3.5 NOR 게이트

게이트

게이트

는 입력

에 하나라

일 때

NOR Gate

A B X A B X ≥1

NOR gate는 입력 중에 하나라도 HIGH일 때 LOW를

출력한다; 입력 모두가 LOW일 때만 출력이 HIGH이다.

I O Inputs A B X Output 0 0 1

2-입력 게이트의

진리표

0 1 1 0 1 1 0 0 0 1 1 0

NOR 연산의 표현: X = A + B.

(22)

3.5 NOR

3.5 NOR

3.5 NOR 게이트

게이트

게이트

NOR Gate

A B X A B X ≥1

예제 파형:

A

Inputs A B X Output 0 0 0 1 1 0

A

B

1 0 1 1 0 0

X

NOR 연산은 입력이 모두 LOW일때만 HIGH를 출력한다.

어떤 경우에 LED가 ON이 될까요? 330 Ω

(23)

3.5 NOR

3.5 NOR

3.5 NOR 게이트

게이트

게이트

NOR Gate

Inputs Output

2-input gate의

진리표;

p A B X p 0 0 0 1 1 0 0 1 1 0 1 1 0 0 0

(24)

3.5 NOR

3.5 NOR

3.5 NOR 게이트

게이트

게이트

(25)

3.6 XOR

3.6 XOR

3.6 XOR 게이트

게이트

게이트

XOR Gate

A B X A B X = 1

XOR gate는 두 입력이 서로 다른 논리레벨인 경우에만

HIGH를 출력한다. 진리표:

Inputs A B X Output 0 0 0 0 0 0 1 1 0 0 1 1 1 1 0

XOR 연산의 표현:

X = AB + AB.

또는

또는

(26)

3.6 XOR

3.6 XOR

3.6 XOR 게이트

게이트

게이트

XOR Gate

A B X A B X = 1

예제 파형:

A

A

B

X

XOR gate는 한 입력만 HIGH일 때 출력이 HIGH이다. 위의 A 와 B 파형이 반전된 경우 출력은 어떻게

(27)

3.6 XNOR

3.6 XNOR

3.6 XNOR 게이트

게이트

게이트

XNOR Gate

A B X A B X = 1

XNOR gate는 두 입력이 모두 동일한 논리레벨일 때에

만 HIGH를 출력한다. 진리표:

Inputs A B X Output 0 0 1 0 0 0 1 1 0 1 0 0 1 1 1

XNOR 연산의 표현:

X = AB + AB.

또는

X = A

.

B

또는

X = A

B.

(28)

3.6 XNOR

3.6 XNOR

3.6 XNOR 게이트

게이트

게이트

XNOR Gate

A B X A B X = 1

예제 파형:

A

A

B

X

XNOR gate는 두 입력이 동일할 때 HIGH를 출력한다. 따라서 비교기로 사용될 수 있다.

(29)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

고정기능논리 IC를 구현하는 기술분류

Fixed Function Logic IC

고정기능논리 IC를 구현하는 기술분류

1. TTL (BJT를 이용한 게이트 구현)

2

CMOS (FET를 이용한 게이트 구현)

2. CMOS (FET를 이용한 게이트 구현)

3. BiCMOS (TTL과 CMOS 기술을 혼합한 게이트 구현)

0.335 – 0.334 in. 14 13 12 11 10 9 8 0.228 – 0.244 in. 14 13 12 11 10 9 8 0.740 – 0.770 in. 0.250 ± 0.010 in. 1 2 3 4 5 6 7 Lead no.1 identifier 1 2 3 4 5 6 7 Pin no.1 identifiers identifier identifiers 14 1 14 1

DIP package SOIC package

<패키지의 형상>

<패키지의 형상>

(30)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

Fixed Function Logic IC

1. TTL

-

공급전압(Vcc)이 5V

74LS00 74LS02 74LS04

(표3 15참고)

-

74LS00, 74LS02, 74LS04, . . .(표3-15참고)

-

7400, 7402, 7404

2. CMOS

-

종류에 따라 공급전압(Vcc)이 다양함

(5V, 3.3V, 2.5V 또는1.8V)

-

74HC00, 74HC02, 74HC04, . . . (표3-14참고)

(31)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

Fixed Function Logic IC

고정논리게이트 IC의 내부 구성

14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 1 2 3 4 5 6 7 GND '00 1 2 3 4 5 6 7 GND '04 1 2 3 4 5 6 7 GND '08 1 2 3 4 5 6 7 GND ' 02 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 1 2 3 4 5 6 7 GND '10 1 2 3 4 5 6 7 GND '11 1 2 3 4 5 6 7 GND '20 1 2 3 4 5 6 7 GND '21 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 13 12 11 10 9 8 VCC 14 1 8 7 9 6 10 5 11 4 12 3 13 2 GND 14 1 8 7 9 6 10 5 11 4 12 3 13 2 GND 14 1 8 7 9 6 10 5 11 4 12 3 13 2 GND 14 1 8 7 9 6 10 5 11 4 12 3 13 2 GND

(32)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

논리기호는 게이트들과 관련 핀 번호를 보여줌

Fixed Function Logic IC

논리기호는 게이트들과 관련 핀 번호를 보여줌

VCC (14) (2) (1) (3) (1) (3) (2) (4) (6) ( ) & (10) (9) (5) (4) (6) (8) (6) (5) (9) (8) (10) (13) (11) (12) ( ) (10) (12) (11) (13)

(33)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

Fixed Function Logic IC

데이터 시트

는 IC 제조사가 권장하는 DC/AC특성의 제한

및 조건을 알려준다. 다음은 74HC00A의 데이터 시트에

제시된 최대정격 (

i

ti

)을 보여주는 예이다

제시된 최대정격 (maximum rating)을 보여주는 예이다.

Parameter Value Unit

Symbol

DC Supply Voltage (Referenced to GND) 0 5 to + 7 0 V V VCC

MAXIMUM RATINGS 최대정격 이내에서 동작시키지 않으면 디바이스가 손상됨

DC Supply Voltage (Referenced to GND) – 0.5 to + 7.0 V V VCC

DC Input Voltage (Referenced to GND) – –

0.5 to VCC +0.5 V V 0.5 to VCC +0.5 V V Vin

DC Output Voltage (Referenced to GND) Vout

DC Input Current, per pin ± 20 mA Iin

DC O t t C t i 25 A

I DC Output Current, per pin ± 25 mA Iout

DC Supply Current, VCC and GND pins ± 50 mA ICC

Power Dissipation in Still Air, Plastic or Ceramic DIP † 750 500 450 mW PD SOIC Package † TSSOP P k 450 TSSOP Package † Storage Temperature °C Tstg –65 to + 150

Lead Temperature, 1 mm from Case for 10 Seconds °C TL

260 300 Plastic DIP, SOIC, or TSSOP Package

(34)

3.7

3.7

(35)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

성능특성과 파라미터

ƒ

전파지연시간(스위칭속도) 전력소모 팬아웃 속도 전력곱

™ 전파지연시간(소자의 동작속도와 관련됨)

ƒ

전파지연시간(스위칭속도), 전력소모, 팬아웃, 속도-전력곱, 직류공급전압, 입력논리레벨, 출력논리레벨

(

)

9 propagation delay time : tp (tPHL, tPLH)

9 게이트 입력펄스 변화에 따른 출력펄스의 변화가 일어날 때까지의 시간차 9 TTL 게이트의 전형적인 전파지연시간 11

9 TTL 게이트의 전형적인 전파지연시간 11ns

t t

(36)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

™ 직류공급전압(Vcc)

9 CMOS IC의 전형적인 Vcc : 5V, 3.3V, 2.5V, 1.8V, , , 9 TTL IC의 전형적인 Vcc : 5V 9 CMOS의 장점중의 하나 : 공급전압의 범위가 넓다 5V 공급전원의 CMOS IC는 2 6V의 V 에서도 정상동작 • 5V 공급전원의 CMOS IC는 2~6V의 Vcc에서도 정상동작 • 5V공급전원의 TTL IC는 4.5~5.5V의 Vcc에서 정상동작

™ 전력소모(Power dissipation : P

D

)

9 직류공급전압Vcc와 평균공급전류 Icc의 곱직류공급 와 평 공급 류 의 곱 P =Vcc X Icc

(37)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

™ 입출력논리레벨 (V

IL

, V

IH

, V

OL

, V

OH

)

9 VILIL : 논리게이트의 Low레벨 입력전압 9 VIH : 논리게이트의 High레벨 입력전압 9 VOL : 논리게이트의 Low레벨 출력전압 9 V 논리게이트의 Hi h레벨 출력전압 9 VOH : 논리게이트의 High레벨 출력전압

™ 속도-전력곱(SPP : Speed-Power Product)

9 전파지연시간과 전력소모의 곱으로 논리회로의 성능척도로 사용파지 시 과 력 의 곱 리회 의 성능척 사용 SPP=tp X PD [Joule]

9 일반적으로 CMOS IC가 TTL IC보다 전력소모가 적으나, 그 값은 동작주파수에 따라 다르다

9 TTL IC의 전력소모는 주파수와 무관 9 TTL IC의 전력소모는 주파수와 무관.

(38)

3.7

3.7

(39)

3.7

3.7

3.7 고정기능의

고정기능의

고정기능의 논리

논리

논리 IC

IC

IC

™ 팬-아웃(Fan-out)과 부하

9 게이트 출력에 연결하여 출력전압을 유지할 수 있는 동일 계열(HC, ( , LS 등) 게이트의 최대 입력의 갯수 9 예) 74LS계열의 TTL 게이트의 Fan-out은 20 9 CMOS계열의 경우 팬아웃이 매우지만, 팬아웃이 주파수에 따라 다름 팬아웃이 주파수에 따라 다름

(40)

3.8

3.8

3.8 프로그램가능한

프로그램가능한

프로그램가능한 논리

논리

논리 IC

IC

IC

9 bl i i ( )는 구현할 논리를 프로그래밍 가능

Programmable Logic IC

9 Programmable Logic Device (PLD)는 구현할 논리를 프로그래밍 가능 9PLD 구현 기술은 다양하지만 대부분 AND 게이트 어레이를 이용 9대부분의 PLD는 여러 번 반복하여 프로그램할 수 있음 9대부분의 PLD는 여러 번 반복하여 프로그램할 수 있음 B B A A SRAM cell SRAM cell SRAM cell SRAM cell

SRAM SRAM SRAM SRAM

X = AB

(41)

요 약

3.8

3.8

3.8 프로그램가능한

프로그램가능한

프로그램가능한 논리

논리

논리 IC

IC

IC

Programmable Logic

일반적으로 PLD에 필요한 논리는 컴퓨터에서 VHDL과

같은 Hardware Description Language (

p

g

g (

HDL

)를 사용하여

)

개발한다. 논리는 HDL과 같은 텍스트 파일, schematic

(42)

요 약

3.8

3.8

3.8 프로그램가능한

프로그램가능한

프로그램가능한 논리

논리

논리 IC

IC

IC

Programmable Logic

schematic diagram

schematic diagram

(43)

요 약

3.8

3.8

3.8 프로그램가능한

프로그램가능한

프로그램가능한 논리

논리

논리 IC

IC

IC

2입력 NAND게이트를 PLD에 구현하기 위한 VHDL 코드의 예 entity NandGate is port(A, B: in bit; port(A, B: in bit;

LED: out bit);

end entity NandGate;y ;

architecture GateBehavior of NandGate is signal A, B: bit;

begin

X <= A nand B; LED <= X;

(44)

1 2 i

t AND

t 의 진리표는?

1. 2-input AND gate의 진리표는?

Inputs A B X Output Inputs A B X Output 0 0 0 1 A B X 0 0 0 1 1 0 A B X

a.

0

b.

1 1 0 1 1 1 0 1 1 0 0 1 0 Inputs A B X Output Inputs A B X Output

(45)

2 2 i

t NOR

t 의 진리표는?

2. 2-input NOR gate의 진리표는?

Inputs A B X Output Inputs A B X Output 0 0 0 1 A B X 0 0 0 1 A B X

a.

0

b.

1 1 0 1 0 1 1 1 0 1 1 1 0 0 0 Inputs A B X Output Inputs A B X Output 0 0 0 1 1 0 0 0 0 1 1 0

c.

d.

0 0 0 0 1 1

(46)

3 2 i

t XOR

t 의 진리표는?

3. 2-input XOR gate의 진리표는?

Inputs A B X Output Inputs A B X Output 0 0 0 1 A B X 0 0 0 1 A B X

a.

0

b.

1 1 0 1 0 1 1 1 0 1 1 1 0 0 0 Inputs A B X Output Inputs A B X Output

(47)

4. 다음 심볼은 어떤 게이트인가?

a. OR gate

b AND gate

A B X ≥ 1

b. AND gate

c. NOR gate

B

d. XOR gate

(48)

5. 다음 심볼은 어떤 게이트인가?

a. OR gate

b AND gate

A B X

b. AND gate

c. NOR gate

B

d. XNOR gate

(49)

6. 모든 입력이 HIGH일 때만 HIGH를 출력하는

게이트는?

게이트는?

a. OR gate

b. AND gate

c. NOR gate

c. NOR gate

d. NAND gate

(50)

7. X = A + B 는 어떤 논리를 의미하는가?

a. A OR B

b. A AND B

c. A XOR B

d A XNOR B

d. A XNOR B

(51)

8. 2-입력게이트의 입출력이 다음 그림과 같다. 어떤

게이트의 논리인가?

a. OR gate

b. AND gate

g

c. NOR gate

d. NAND gate

A

B

X

(52)

9. 2-input gate가 입력이 동일할 때만 HIGH를 출력한다.

이런 논리 게이트는?

a. OR gate

b. AND gate

g

c. NOR gate

d. XNOR gate

(53)

10. Hardware Description Language에서 PLD에 필요한

논리는 어떤 형식으로 정의할 수 있는가?

a. text entry

b. schematic entry

y

c. state diagrams

d. all of the above

(54)

Answers:

1. c

2 b

6. b

7 c

2. b

3. a

7. c

8. d

4. a

9. d

수치

diagram 또는 상태도(State Diagram)로 설계될 수 있다.

참조

관련 문서

프로그래밍

이 기술은 시장에서 높은 가격을 받도록 보장하지 못할 것임 이 기술은 약간 높은 가격의 상업적 이점을 제공할 것임.. 이 기술은 시장에서

우대시설 이용 시 많은 도움이 되시기를 바라며, 전국의 병역명문가 여러분께 다시 한 번 진심으로 감사드립니다.?.

-고집광 태양열 이용 : 장치를 통한 고집광한 에너지를 이용 고온태양열 반전과 고효율 PV발전 가능, 다양한 응용성.. *중고온 산업용 태양열

즉, 한가지 과제에 대하여 여러 가지 Logic Tree를 생각해 낼 수 있는 유연성이 중요함. 균형이 잡히지 않으면 지면상의

 표본연구의 오류를 최소화하는 방법: 모집단으로부터 표 본을 여러 번 선정하여 개별 표본들을 조사하고 개별표 본으로부터 나오는 평균값의

• 컴퓨터가 교육에 도입된 것은 1950년대 후반 - 메인프레임 컴퓨터와 여러 대의 터미널 이용 - 일리노이大 PLATO(Programmed Logic for.. Automatic Teaching

annualsalary: 외부에서 접근하지 않으나 파생 클래스에서