• 검색 결과가 없습니다.

Topics in IC Design 4.1 Introduction to Injection Locked Oscillators

N/A
N/A
Protected

Academic year: 2022

Share "Topics in IC Design 4.1 Introduction to Injection Locked Oscillators"

Copied!
113
0
0

로드 중.... (전체 텍스트 보기)

전체 글

(1)

Topics in IC Design

4.1 Introduction to Injection Locked Oscillators

Deog-Kyoon Jeong dkjeong@snu.ac.kr

School of Electrical and Computer Engineering Seoul National University

(2)

Outline

• Definition of Injection Locking

• Applications

• Basic Theory with Phasor Diagram

• Lock Range Calculation

• Dynamics

(3)

What is Injection Locking?

• A oscillator is locked to a frequency of an external signal close to its free-running frequency.

– Frequency is locked but not the phase.

θ = function (Ainj, Aosc, ωinj, ω0)

(4)

Applications

• Clock generation

– Fundamental injection: ωout = ωinj

• Frequency multiplication

– Subharmonic injection: ωout / N = ωinj

• Frequency division

– Superharmonic injection: ωout *N = ωinj

• Clock recovery from NRZ data stream

(5)

Basic Theory

• Developed by Adler in 1946

Active device (negative resistance)

Coupling network

External(injection) Resultant

(6)

Symbols

• ω0 = free-running frequency

• ω1 = frequency of external signal (injection)

• ∆ω0 = ω0 – ω1 : “undisturbed” beat freq.

• ω = “instantaneous” freq. of oscillator

• ∆ω = ω – ω1 : “instantaneous” beat freq.

• “undisturbed”: injection off

• “instantaneous”: injection on

(7)

Three Assumptions

1) ω0 /2Q >> ∆ω0: injection freqfree running freq

2) T << 1/∆ω0: amplitude control mechanism is very fast (T=RTCT)

3) E1 << E: weak injection

(8)

Phasor Diagram

• Phasor: Phase Vector

– represents both amplitude and phase

• Phasor diagram is used when analyzing linear system with the same frequency

• “rotating” phasor is used for expressing signals with slightly different frequency

(9)

Rotating Phasor

• E1: Injected signal phasor with fixed frequency (ω1).

• E: Internally generated signal phasor

– Rotating clockwise with an angular velocity (dα/dt).

– Actual frequency = ω1 + (dα/dt)

• Eg: Phasor of sum of internal and externally injected signal

Eg (resultant) E

φ

α

(dα/dt)

(10)

Examples of Rotating Phasor

• When free-running frequency is equal to injected frequency (ω0 = ω1)

t=0, initial phase difference exists

E1

(dα/dt) ≠0 E

Eg

E1 E Eg

(dα/dt) =0

t = t1 In steady state

E1 (injection)

Eg (resultant) E

φ

α

(dα/dt) ≠0

(11)

Relation between Phasors

• Vector calculation

Under weak injection, E1 << E, φ << 1

E1 (injection)

Eg (resultant) E

φ

α

(dα/dt)

(12)

Phase Shift at Off-resonant Frequency

• Assuming injection frequency being close to resonant frequency

(13)

LC Resonant Circuit Phase Response

• For an RLC tank,

(14)

LC Resonant Circuit Phase Response

• For an RLC tank,

• In the notation of the Phasor diagram,

(15)

Calculation of Phasors

• Calculation of (t) from two equations

: LC resonant circuit

(16)

Lock Range Calculation

• Equation for (t) (phase angle between E and E1)

• In steady state,

• Thus,

• Since E1 (injection)

Eg (resultant) E

φ

α

(dα/dt)

(17)

Note on Lock Range

• For higher normalized lock range

– higher injection strength (E1/E)

– low Q resonant circuit (higher bandwidth of the tank)

(18)

Solution of (t) when 

0

=0

• General solution with 0=0

• Simplified equation

• Analytical solution tan α

2 = e−Bttan(α0 2 )

dt = −Bsinα,

(19)

Solution of (t) when 

0

=0

• In Mathematica,

(20)

Solution of (t) when 

0

0

• General solution with 00

• Simplified equation

• Analytical solution when K<1 (Injection locked)

(21)

Solution of (t) when 

0

0

• Still a first-order system (K<1)

α ≠0 What is its time constant?

What is its final phase error?

(22)

Solution of (t) when 

0

0

• Analytical solution when K>1 (Injection pulling, not locked)

tan 𝛼

2 = 1

𝐾 + 𝐾2 − 1

𝐾 tan 𝐵(𝑡 − 𝑡0)

2 𝐾2 − 1

(23)

References

• [4.1.1] Adler, “A Study of Locking Phenomena in Oscillators,” Proceedings of the IRE, 1946

• [4.1.2] Razavi, “A Study of Injection Locking and Pulling in Oscillators, JSSC 2004

(24)

Topics in IC Design

4.2 Phase Noise in Injection Locked Oscillators

Deog-Kyoon Jeong dkjeong@snu.ac.kr

School of Electrical and Computer Engineering

(25)

Outline

• Noise Model

• Equation of Signals

• Noise in Free-running Oscillators

• Noise in Injection-locked Oscillators

• Noise in Noisy Injection Signals

(26)

Noise Model

• Assume Series Resonance

– Ri = internal resistance – Ro = load resistance

• e(t): Injected signal

(27)

Equations of Signals

• In case of free-running, e(t)=0 and i(t) is periodic with harmonic terms.

• Suppose small perturbation is given by small e(t)

• A(t) and (t) are slowly varying function compared with .

(28)

Equations of Signals

• Calculation of di/dt

(29)

Equations of Signals

• Calculation of ∫ i(t) dt

• Use integration by parts and note that A(t), dA(t)/dt, (t) and d(t)/dt are slowly varying functions.

(30)

Equations of Signals

• Substitute di/dt and ∫ i(t) dt into Eq. (1).

(31)

Equations of Signals

• Multiply sin(t+𝛷) and cos(t+𝛷) and integrate over one period.

– Since A and 𝛷 are slowly varying function, they do not change appreciably over one period

Equation for phase variation

(32)

Equations of Signals

• Multiply sin(wt+𝛷) and cos(wt+𝛷) and integrate over one period.

– Since A and 𝛷 are slowly varying function, they do not change appreciably over one period

Equation for amplitude variation

(33)

Equations of Signals: Injection Locked

• When the oscillator is injection locked with , oscillation frequency becomes injection frequency s.

• In steady state, and right hand side is .

• Let , then Eq. (2) becomes

(34)

Lock Range

• Since |sin𝛷| < 1, lock range is

• Note a0 is the voltage amplitude, A0 is the current amplitude.

Result of injection on phase:

Phase difference between injected signal and oscillation signal

0 0 0 0 injection signal voltage

aa

(35)

Equations of Signals: Injection Locked

• R is a nonlinear resistor.

• Average resistance over one cycle is (V/I)avg.

• ഥ𝑹 is a function of A. ഥ𝑹 decreases as A increases with the rate of -K.

• ഥ𝑹 = 𝑹𝒊 + 𝑹𝒐 − 𝑲(𝑨 − 𝑨𝒐)

Slope= - K

(36)

Equations of Signals: Injection Locked

• When free-running, and .

• Thus, with A0.

• For a small variation , varies linearly.

(37)

Amplitude when Injection Locked

• When the oscillator is injection locked with , oscillation frequency becomes injection frequency s.

• In steady state, and right hand side is .

• Since ,

Result of injection on amplitude:

Phase difference between injected signal and oscillation signal

(38)

Noise in Free-Running Oscillator

• Suppose e(t) is a Gaussian noise source

• Let and

• In case of free-running, =, and then (2) and (3) become

(39)

Noise in Free-Running Oscillator

• Taking Laplace transform on phase noise,

• Then

• Taking Laplace transform on amplitude,

• Then

f

K 

(40)

Noise in Free-Running Oscillator

• To combine phase noise and amplitude noise together, calculate autocorrelation function of output current i(t).

(41)

Noise in Free-Running Oscillator

• Using on

• Power Spectral Density becomes

Due to phase noise

Due to amplitude noise Lorentizian

(42)

Noise in Free-Running Oscillator

• Power Spectral Density becomes

• When  is near 0, first term dominates.

FM noise

AM noise

2 4

0 2

2L A e

(43)

Noise in Injection-Locked Oscillator

• e(t) has both noise and injection signal.

• Phase noise is calculated as

• When compared with free-running case,

• Phase fluctuation is considerably reduced when

f Free-running

Injection locked

(44)

Noise in Injection-Locked Oscillator

• Equation for amplitude noise is calculated as

• Compared with free-running case,

(45)

Noise in Injection-Locked Oscillator

• Combining phase noise and amplitude noise together,

(46)

Noise in Injection-Locked Oscillator

• At the edge of the lock range(cos 𝛷0 =0), this injection locking fails and this equation doesn’t hold.

Pure sinusoid

FM noise

AM noise

(47)

Comparison

• FM noise

Pure sinusoid

FM noise

Free

f

2 2

e A0

Free running Injection locked with noise free injection signal

2 4

0 2

2L A e

2 2

0 0

0 0

0 0 0

cos 2

where and sin

B L

a LA

a a

 

(48)

Noise in Noisy Injection Signal

• When injected by noisy injection signal, phase noise is

• Compare with free-running and noiseless injection cases,

injection locked with Injection locked with noisy input

Free running

(49)

Noise in Noisy Injection Signal

• When injected by noisy injection signal, amplitude noise is

• Compare with free-running and noiseless injection cases,

(50)

Noise in Noisy Injection Signal

• Hard to calculate R() and Power Spectral Density with

(51)

Noise in Noisy Injection Signal

• Shape of PSD with noisy injection signal

• 0: Free-running Frequency

• d: Frequency difference

• L: Lock-in Frequency

• B: Beat Frequency

• PSD

L0(∆𝜔) Linj(∆𝜔)

Lout(∆𝜔) 𝜔𝐿 = 𝜔0

2𝑄 𝐼𝑖𝑛𝑗 𝐼𝑜𝑠𝑐

𝜔𝐵 = 𝜔𝐿2 − 𝜔𝑑2

𝜔𝐵 ∆𝜔

Lout(∆𝜔) = MAX Linj(∆𝜔), MIN L0(∆𝜔), L0(𝜔𝐵)

= MAX[L (∆𝜔), ∆𝜔2 L (∆𝜔)]

𝜔𝑑 = 𝜔0 − 𝜔𝑖𝑛𝑗

0 0

0

cos 2 a

LA

(52)

Effect of Flicker Noise

• ILO attenuates jitter with 1-st order filtering

• 0: Free-running Frequency

• d: Frequency difference

• L: Lock-in Frequency

• B: Beat Frequency

L0(∆𝜔) with flicker noise

Linj(∆𝜔)

Lout(∆𝜔) 𝜔𝐿 = 𝜔0

2𝑄 𝐼𝑖𝑛𝑗 𝐼𝑜𝑠𝑐

𝜔𝐵 = 𝜔𝐿2 − 𝜔𝑑2 𝜔𝑑 = 𝜔0 − 𝜔𝑖𝑛𝑗

0 0

0

cos 2 a

LA

-30dB/dec

-20dB/dec -10dB/dec

(53)

References

• [4.2.1] K. Kurokawa, “Noise in Synchronized Oscillators”, IEEE Transactions on Microwave Theory and

Techniques, pp. 234 – 240, 1968

(54)

Topics in IC Design

4.3 Injection Locked Frequency Multipliers

Deog-Kyoon Jeong dkjeong@snu.ac.kr

School of Electrical and Computer Engineering

(55)

Outline

• N-th Harmonic Generation

• Pulse injection

• Injection-locked LC Oscillator

• Injection-locked Ring Oscillator

(56)

Subharmonic IL LC oscillator

• Subharmonic Injection-locked LC oscillator

(57)

Injection-Locked LC Oscillator

• Pulses are injected with N-th harmonic

(58)

N-th Harmonic Generation

• Pulse with short duty cycle has a lot of harmonics

– Equation?

(59)

Injection-Locked LC Oscillator

• N-th harmonic is injected by a pulse generator or by a MOS transistor biased in class-C

(60)

Injection-Locked LC Oscillator

• Injection to the source of the MOS transistors

(61)

Pulse Injection by Shorting

• Injection by shorting

(62)

Injection-Locked Ring Oscillator

• Pulse-injection-locked frequency multiplier (PILFM)

• 20-MHz input with Sharp pulse with 1.7% duty

• 200-MHz output (10x multiplication)

(63)

Subharmonic Pulse-Injection Locking

• Input Sensitivity as a function of output frequency

– 1.7% duty ratio maintained

– X10 multiplication with constant tuning range

250MHz 4.2%

2GHz 5%

4.8GHz 2.1%

(64)

Multiphase ILRO

• Wide lock range

(65)

Phase Noise

• Edges are realigned in every N cycles.

(66)

Phase Noise

• Edges are realigned in every N cycles.

3dB

(67)

Spur and Frequency Tuning

• Impact of frequency tuning and reference spur generation

• When not tuned:

• Error in the period of injection cycle:

• Reference spur:

(68)

Tuning of IL Oscillator

• Pulse on Injection Switch

– Sample and hold detects phase error

(69)

Tuning of IL Oscillator

• Tuning of the free-running frequency

– Reference spur is reduced

(70)

References

• [4.3.1] J Lee, et al., “Subharmonically Injection-Locked PLLs for Ultra-Low- Noise Clock Generation,” ISSCC 2009

• [4.3.2] B. Helal, et al., “A Low Jitter Programmable Clock Multiplier Based on a Pulse Injection-Locked Oscillator With a Highly-Digital Tuning Loop,” JSSC 2009

• [4.3.3] C. Liang et al., “An Injection-Locked Ring PLL with Self-Aligned Injection Window, ISSCC 2011

• [4.3.4] C. Wu, et al., “A Phase-Locked Loop With Injection-Locked Frequency Multiplier in 0.18-um CMOS for V-Band Applications,” TMTT, No. 7, 2009

• [4.3.5] Choi et al., “Ultralow In-Band Phase Noise Injection-Locked Frequency Multiplier Design Based on Open-Loop Frequency Calibration,” TCAS-2, 2014

• [4.3.6] K. Takano, et al., “4.8GHz CMOS Frequency Multiplier with Subharmonic Pulse-Injection Locking”, ASSCC, 2007

• [4.3.7] Da Dalt, “An Analysis of Phase Noise in Realigned VCOs,” TCAS-II, 2014

(71)

Topics in IC Design

4.4 Injection Locked Frequency Dividers

Deog-Kyoon Jeong dkjeong@snu.ac.kr

School of Electrical and Computer Engineering Seoul National University

(72)

Outline

• Direct Injection Locked Frequency Divider

• Regenerative IL FD

(73)

Direct Injection-Locked FD

• Divide-by-two Direct ILFD [TMTT2008 T. Luo et al.]

(74)

Direct Injection-Locked FD

• Divide-by-two Direct ILFD

Input frequency Output frequency

(75)

Frequency Divider based on LC Osc

• L3 and L4 reduces the effect of parasitic capacitances

• Divide-by-5 operation

[MWC2014 M. Jalalifar et al.]

(76)

Frequency Divider based on LC Osc

• M5 mixes f0 and 5f0 to generate 4f0 and 6f0, which is mixed with 5f0 by M6 to generate f0 and 9f0 and 11f0.

[MWC2014 M. Jalalifar et al.]

(77)

Frequency Divider based on LC Osc

• Resonance frequency

• If C1=C2=C3=C and L5 > L3, L4

(78)

RO-based FD

• 4-stage RO based ILFD [MWC2009 M. Farazian et al.]

(79)

RO-based FD

• 4-stage RO based ILFD

• N-stage Lowpass filter removes high-frequency components and only the following term survives.

• Therefore,

(80)

Regenerative Injection-Locked FD

• 60GHz divide-by-three operation [TMTT2008 T. Luo et al.]

(81)

Injection Locked Ring Oscillators

• N-stage resistive-load RO at free running [JSSC2007 J. Chien et al.]

(82)

Injection Locked Ring Oscillators

• Single-ended injection [JSSC2007 J. Chien et al.]

(83)

Injection Locked Ring Oscillators

• Single-ended injection

• Since

[JSSC2007 J. Chien et al.]

(84)

3-Stage Ring Oscillator as 2:1 Divider

• Superharmonic IL RO as 2:1 frequency divider

– Mixer acts as a phase shifter and a frequency translator

[JSSC2007 J. Chien et al.]

(85)

Multiple-Input Injection

• Multiple-input injection

– Injection in three points with phase shift of inj

[JSSC2007 J. Chien et al.]

(86)

ILFD

• RO locks to the 3rd harmonic of the input

– Free-running frequency is set by VILFD

– Each three-stage RO runs at 10GHz. Its 2nd harmonic is mixed with the 30GHz input and creates 10GHz output.

[APMC2011 T. Shima et al.]

(87)

References

[4.4.1] J. Chien et al., “Analysis and Design of Wideband Injection-Locked Ring Oscillators With Multiple-Input Injection”, JSSC Sept. 2007

[4.4.2] E. Larson et al., “A CMOS Multi-Phase Injection-Locked Frequency Divider for V-Band Operation,” MWCL 2009

[4.4.3] M. Jalalifar et al., “A K-Band Divide-by-Five Injection-Locked Frequency

Divider Using a Near-Threshold VCO,” IEEE Microwave and Wireless Components Letters, vol 24, no. 12, 2014

[4.4.4] T. Luo, “A 60-GHz 0.13-um CMOS Divide-by-Three Frequency Divider,”

TMTT, vol 56, no. 11, 2008

[4.4.5] T Shima et al., “A 60 GHz CMOS PLL Synthesizer using a Wideband

Injection-Locked Frequency Divider with Fast Calibration Technique,” APMC 2011

[4.4.6] C.-Y. Wu and C.-T. Yu, “Design and analysis of a millimeter-wave direct injection-locked frequency divider with large frequency locking range,” TMTT, vol.

55, no. 8, pp.1649–1658, 2007

(88)

Topics in IC Design

4.5 Analysis of Pulsed Injection Locked Oscillators

Deog-Kyoon Jeong dkjeong@snu.ac.kr

School of Electrical and Computer Engineering

(89)

Outline

• Superharmonic pulsed injection lock model

• Transfer function derivation

• Phase Domain Response (PDR)

• Tuning

• 2-path injection issue

(90)

Injection Lock Model

• Signal Injection

• Transfer function is

(91)

Injection Lock Model

• Lock range calculation

– Period of injected signal = T – Free-running frequency = T’

– Phase shift is T=T’-T

• For phase lag of Td, injection events per clock edge creates phase shift of

• Period difference must not be more than T/4 or T’/4

• Therefore, Td=T/4 and the lock range is

(92)

Timing diagram of IL-VCO

Assuming

[JSSC’02 S. Ye et al.]

(93)

Phase Realignment

• Assumptions

– Linear phase shift (β)

[JSSC’02 S. Ye et al.]

–β

(94)

Theoretical analysis (1)

[Time-domain waveform] [Extra phase shift]

• θinst_vco(t) = θvco(t) + φ(t)

– θ

[JSSC’02 S. Ye et al.]

(1)

(95)

Theoretical analysis (2)

• θe[n] = θinst_vco(nTr-) – N∙θref(nTr)

– nTr-: the time instant just before the nth reference edge – θref(t): the reference phase noise at 1/N frequency

• –β∙θe[n]

– VCO phase shift after nth phase realignment

• φ(t) = –β ∙ σ−∞+∞ θe[n] ∙ u(t–nTr)

– VCO can be modeled as a phase error integrator

• φ(t) = σ−∞+∞ φ[n] ∙ hhold(t–nTr)

– φ[n] – φ[n-1] ≡ –β∙θe[n] (see the figure of previous slide)

– hhold(t) = u(t) – u(t -Tr) (zero-order hold with pulse width of Tr)

[JSSC’02 S. Ye et al.]

(96)

Theoretical analysis (3)

• φ(jw) = 𝑻𝒓 ∙ 𝒆−𝒋𝒘𝑻𝒓/𝟐 𝒔𝒊𝒏(𝒘𝑻𝒓/𝟐)

(𝒘𝑻𝒓/𝟐) ∙ φ(z) | z=𝒆𝒋𝒘𝑻𝒓

– Fourier transform of “φ(t) = σ−∞+∞φ[n] ∙ hhold(t–nTr)”

– φ(z): z transform of φ[n]

• φ[n] – φ[n-1] = –β ∙ (θvco[n] + φ[n-1] – N∙θref[n])

– φ[n] – φ[n-1] ≡ –β∙θe[n]

– θe[n] = θinst_vco(nTr-) – N∙θ

ref(nTr)

• φ(z) = 𝜷

𝟏+(𝜷−𝟏)𝒛−𝟏 ∙ θvco(z) + 𝑵∙𝜷

𝟏+(𝜷−𝟏)𝒛−𝟏 ∙ θref(z)

• θinst_vco(jw) = θvco(jw) ∙ Hrl(jw) + θref(jw) ∙ Hup(jw) – (1),(2),(3)

– Hrl(jw) = 𝟏 − β −𝒋𝒘𝑻𝒓 ∙ 𝒆−𝒋𝒘𝑻𝒓 𝒔𝒊𝒏(𝒘𝑻𝒓/𝟐) (phase realignment, high pass)

[JSSC’02 S. Ye et al.]

combining

Z transform

(2)

(3)

(97)

Theoretical analysis (4)

Conventional PLL Realigned PLL

Further suppression of VCO noise

[JSSC’02 S. Ye et al.]

(98)

What is Phase Domain Response?

CLKOSC

INJ

N-cycles

PW

θ

PDR Estimation

• Re-adjustment of edges by a single pulse

(99)

PDR Calculation (1)

(a) Time domain (b) Phasor diagram

ΔV

V sinΦ

V sin(Φ-Φout)

V

Φ

Φin

Im(V)

Re(V) Φin

Φout

ΔV V

(c) PDR curves

• ∆V = V∙a∙sin(Φin), (a=𝟏 − 𝒆

𝒅 𝑹𝑺𝑾𝑪)

• Φout = Φin – Arctan[tan(Φin) – ∆V/Vcos(Φin)]

• Injection pulse width: impulse (d~0)

[JSSC’13 Y. C. Huang et al.]

(100)

PDR Calculation (2)

integration

[JSSC’13 A. Elkholy et al.]

• Integration of phase shifts

(101)

Tuning

• Tuning

– Free-running frequency = injection frequency – Free-running frequency can drift

– Continuous background calibration necessary

• Frequency Locked Loop (FLL) is necessary

– Phase is determined by injected signal

• What if a PLL is used?

– Phase is locked by two paths

– Average free-running frequency with spur = injection frequency – But real free-running frequency ≠ injection frequency

(102)

2-path phase adjustment

Pulse Gen.

Divider

BBPFD DLF

CLKREF

INJ

F.C.W.

ERR

DIV

CLKDLF

CLKOUT

ILO

TPG

TDIV

OSC Modulation Point 1

Modulation Point 2

TDIV

DIV REF

TPG

INJ OSC

(103)

Tuning 1 – GRO TDC

• It can detects ∆f using GRO TDC

• Only 1 point injection: no BBPD from reference

[JSSC’09 B. M. Helal et al.]

[GRO TDC]

[Overall architecture]

(104)

Tuning 2 – signal gating

[JSSC’13 A. Elkholy et al.]

• Gating injection pulse

• When gating pulse, BBPD can detect ∆f

(105)

Tuning 3 – replica (1)

[JSSC’16 Choi et al.]

• It can detects ∆f using a replica delay cell

• Mismatch is reduced comparing replica oscillator

• DE-PD: comparing phase of VCO & REPLICA

(106)

Tuning 4 – 2-path delay matching (1)

[ISSSC’17 Kim et al.]

• 1 replica delay cell as in JSSC’16 Choi

(107)

Tuning 4 – 2-path delay matching (2)

• Architecture

[ISSSC’17 Kim et al.]

REP Frequency Error

Path Mismatch Detector

ΔΣ

Accum. FCW

INJ

OSC

REF

Pulse Generator

ΔΣ

Accum.

PCW Digital Loop Filter

ILO

1 0

PFD

INIT_DONE

ERRp

PCL

ERRf

Q ERRPFD I

(108)

Tuning 4 – 2-path delay matching (3)

• If 2 modulation paths are not matched, it is shown as

– Large spur (PLL)

– Increased Phase jitter

[ISSSC’17 Kim et al.]

INJ ϕERR

REP OSC Ring OSC.

A

B

OSC INJ

(109)

Tuning 4 – 2-path delay matching (4)

• tpm represents total path mismatch error

• DLF controls tcal to match tpm

[ISSSC’17 Kim et al.]

Unmatched Replica

Decision Circuit INJ

ILO

w/ Replica Cell FCW

tpm PCW

UP

ERRf

ERRp

tcal

DN DLF

Offset Unmatched Routing Path

ΔΣ Accum.

ΔΣ Accum.

ϕERR OSC

(110)

Tuning 4 – 2-path delay matching (5)

• Measured results

[ISSSC’17 Kim et al.]

w/ Only fERR

Calibration w/ f /p 0.1

0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

1.08751.09251.09751.10251.10751.1125

Integrated Jitter [ps]

Supply Volatge [V]

Chip1 w/o FCL&PCL Chip1 w/ FCL Chip1 w/ TDDC Chip2 w/ FCL Chip2 w/ TDDC Chip3 w/ FCL Chip3 w/ TDDC

tegrated RMS Jitter [psrms]

0.3 0.7 1.1

0.5 0.9

w/o Calibration

(111)

Tuning 4 – 2-path delay matching (6)

• Measured results

Topics in IC Design 24

[ISSSC’17 Kim et al.]

w/o Calibration 43 dBc

w/ TDDC 65 dBc

156.25 MHz

110 90

w/o Calibration: 218.7 fsrms

oise Bc/Hz]

w/ TDDC: 197.4 fsrms

120 100

© 2020 DK Jeong

(112)

Tuning 4 – 2-path delay matching (7)

• Measured results

[ISSSC’17 Kim et al.]

(113)

References

[4.5.1] Ng et al., “A Second-Order Semidigital Clock Recovery Circuit Based on Injection Locking,” JSSC no. 12, 2003

[4.5.2] S. Ye et al., “A multiple-crystal interface PLL with VCO realignment to reduce phase noise,” JSSC, 2002.

[4.5.3] D. Dunwell et al., “Modeling Oscillator Injection Locking Using the Phase Domain Response,” TCAS I, 2013.

[4.5.4] Y. C. Huang et al., “A 2.4-GHz Subharmonically Injection-Locked PLL With Self- Calibrated Injection Timing,” JSSC, 2013.

[4.5.5] A. Elkholy et al., “Design and Analysis of Low-Power High-Frequency Robust Sub- Harmonic Injection-Locked Clock Multipliers,” JSSC, 2015.

[4.5.6] B. M. Helal et al., “A Low Jitter Programmable Clock Multiplier Based on a Pulse Injection-Locked Oscillator With a Highly-Digital Tuning Loop,” JSSC, 2009.

[4.5.7] S. Choi et al., “A PVT-Robust and Low-Jitter Ring-VCO-Based Injection-Locked Clock Multiplier With a Continuous Frequency-Tracking Loop Using a Replica-Delay Cell and a

Dual-Edge Phase Detector,” JSSC, 2016.

[4.5.8] S. Kim et al., “A 2.5GHz injection-locked ADPLL with 197fsrms integrated jitter and -

참조

관련 문서

Lappas, “Design and Structural Analysis of a Control Moment Gyroscope (CMG) Actuator for CubeSats”, Aerospace, Vol. Cheng et al., “Numerical Simulation of Separation

Traditionally, when measuring phase noise directly with a swept RF spectrum analyzer, the L(f) ratio is the ratio of noise power in a 1 Hz bandwidth, offset from the carrier

R.M.S and Frequency Domain of the measured signals in time domain were obtained to compare vibration levels, and frequency characteristics of signals were

 PLL filters out low frequency jitter of

In terms of the mould design, the effects of runner system design and the mould temperature on filling characteristics, the weldline formation, the

Second, a frequency analysis and keyword network centrality of the words in the main texts of the units dealing with “sustainable” core concept was

 Euler buckling strength of long column cannot be improved by higher- strength material since it is due to the instability of the column as a whole.  For a column

[6.1] Calculate the rule required minimum design still water bending moment and vertical wave bending moment of the design ship in accordance with the DNV Rule(Part 3,